Applied Materials Is Closer To Losing Dominance In Semiconductor Equipment, according to report

Summary from Seeking Alpha
  • Applied Materials recently reported semiconductor equipment revenue growth dropped 16.0% compared to the previous quarter due largely to pushouts in equipment by memory customers.
  • Applied Materials has been losing market share to competitor Tokyo Electron since 2017 and is close to losing its long-held title of semiconductor equipment leader.
  • 60% of Applied Materials revenues was due to purchased by memory companies, but DRAM and NAND capex spend is projected to drop in 2018 and 2019.

Since the 1990s, Applied Materials (AMAT) has been the market leader in the semiconductor equipment space. Previously, Japan’s Tokyo Electron (OTCPK:TOELY) was the market leader going back to 1989. In the first three quarters of 2018, Tokyo Electron is again poised to take over the lead.

Chart 1 shows that Applied Materials share of the top eight equipment companies has dropped from 27.2% in Q1-Q3 2017 to 23.8% in Q1-Q3 2018, according to The Information Network’s report entitled “The Global Semiconductor Equipment: Markets, Market Shares, Market Forecasts.” During the same period, Tokyo Electron’s share increased from 20.2% to 21.8%. Thus, AMAT’s lead over Tokyo Electron’s decreased from 6.0% to just 2.0% in this period.

Chart 1Data are for semiconductor equipment only and do not include service or spare parts. Foreign currencies were converted to US dollars using exchange rates on a quarterly basis.

Table 1 shows that AMAT’s share of the top equipment suppliers not only decreased in the time period shown in Chart 1, it also has decreased from CY 2016 and CY 2017. An important takeaway is that AMAT is the only company that has lost market share sequentially in each of the time periods, while ASML (ASML) and Tokyo Electron were the only two companies that sequentially increased market share in the periods.

Read More>>

thumbnail TrustedParts x B